From 776a022e39a3c8f7c81b35d6b4307ffaa0ae3df8 Mon Sep 17 00:00:00 2001 From: Christoph Lohmann <20h@r-36.net> Date: Thu, 13 Sep 2012 23:19:57 +0200 Subject: [PATCH] Importing the patch of Roberto Vargas to inherit signal handlers. --- st.c | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/st.c b/st.c index fe2b922..c2ee3e2 100644 --- a/st.c +++ b/st.c @@ -774,6 +774,13 @@ execsh(void) { unsetenv("LINES"); unsetenv("TERMCAP"); + signal(SIGCHLD, SIG_DFL); + signal(SIGHUP, SIG_DFL); + signal(SIGINT, SIG_DFL); + signal(SIGQUIT, SIG_DFL); + signal(SIGTERM, SIG_DFL); + signal(SIGALRM, SIG_DFL); + DEFAULT(envshell, SHELL); putenv("TERM="TNAME); args = opt_cmd ? opt_cmd : (char*[]){envshell, "-i", NULL}; -- libgit2 1.1.1